曙海教育集团论坛嵌入式硬件开发专区Allegro Cadence PCB设计 → Cadence针对RF产品的SiP设计套件可提供一个完整的SiP设计流程


  共有6857人关注过本帖树形打印

主题:Cadence针对RF产品的SiP设计套件可提供一个完整的SiP设计流程

美女呀,离线,留言给我吧!
wangxinxin
  1楼 个性首页 | 博客 | 信息 | 搜索 | 邮箱 | 主页 | UC


加好友 发短信
等级:青蜂侠 帖子:1393 积分:14038 威望:0 精华:0 注册:2010-11-12 11:08:23
Cadence针对RF产品的SiP设计套件可提供一个完整的SiP设计流程  发帖心情 Post By:2010-11-30 9:50:34

今天SoC的发展至少遇到了以下四大难以逾越的挑战:第一,IP的种类和复杂度越来越大以及通用接口的缺乏均使得IP的集成变得越来越困难;第二,当今的高集成度SoC设计要求采用更先进的90nm以下工艺技术,而它将使得功率收敛和时序收敛的问题变得更加突出,这将不可避免地导致更长的设计验证时间;第三,很难在SoC上实现模拟、混合信号和数字电路的集成;第四,先进SoC开发的NRE成本动辄数千万美元,而且开发周期很长。

为了应对以上挑战,业界引入了SiP的概念,即把多个不同的元件集成在一个基底(substrate)上,而不是一个裸片(die)上。SiP不仅开发周期短,而且NRE成本低,SiP现被广泛应用于无线、网络和消费电子应用,诸如手机、蓝牙模块、WLAN模块和网络包交换。Semico市场研究公司的报告也显示,到2007年SiP合同制造商的收入将达到747.9百万美元。SiP很可能会变成一个主流的技术趋势。为了满足这一日益增长的设计需求,Cadence设计系统有限公司最近推出了业界第一套完整的能够推动SiP IC设计主流化的EDA产品,它们提供了将许多IC设计和封装技术整合在一起的能力,从而使得更多的IC设计工程师可以开发出成本、尺寸和性能都更为优化的高集成度产品。

Cadence解决方案通过提供一套全自动的、整合的、可靠的和可重复的设计流程,解决了目前SiP设计中依赖专家工程技能的方法所固有的局限性,从而可满足市场对无线和消费电子产品不断增长的需求。这些新产品包括了RF SiP Methodology Kit,它由两款新的RF SiP产品(SiP RF Architect和SiP RF Layout)和三款新的数字SiP产品(SiP Digital Architect、SiP Digital SI和SiP Digital Layout)构成。RF SiP Methodology Kit提供了一个基于仿真的IC/封装协同流程,它解决了一些关键的SiP设计挑战,如缺少整合的工具和方法来实现系统、IC、封装和电路板设计的整合,以及无法仿真、验证和分析完整的SiP设计。

Cadence最近推出的SiP设计套件提供了将许多IC设计和封装技术整合在一起的能力。

作者:Jake Chen;
执行主编;
《电子系统设计》

RF SiP Methodology Kit目前支持大多数主要晶圆代工厂的大多数主流工艺技术,支持从原理图到GDSII的完整流程(包括用于全系统仿真的参数背注),支持SystemC和SystemVerilog,也可以利用Mathworks Mathlab的系统级链接接口进行ESL验证。

RF SiP Methodology Kit提供了一种快速集成多个裸片的设计方法,从而提供了一种完整SoC实现的替代方法。Cadence RF Design Methodology Kit解决了将功放、PLL、VCO和滤波器放到单个SoC上的集成挑战。

Cadence SiP解决方案可以与Cadence 主要的设计平台无缝整合,如可以与Encounter整合实现裸片抽象级协同设计,与Virtuoso整合实现RF模块设计,与Allegro整合实现封装与电路板的协同设计以提供尺寸、成本和性能都更为优化的终端产品。下一步Cadence将开发可把整个无线系统集成在一个SiP或SoC上的套件产品。

RF SiP Kit包括新的Cadence SiP RF产品和设计方法,它们可自动化和加速用于无线通信应用的RF SiP产品的整个设计流程。它也提供了基于802.11 b/g无线局域网设计的经过客户验证的SiP实现方法,这使得客户能够以很低的风险快速和顺利地采用SiP设计技术。这个套件与Cadence之前发布的Cadence RF Design Methodology Kit一起拓展了Cadence在无线RF设计领域的产品线。目前Freescale和Jazz半导体公司已经在采用该套件开发RF产品。


支持(0中立(0反对(0单帖管理 | 引用 | 回复 回到顶部

返回版面帖子列表

Cadence针对RF产品的SiP设计套件可提供一个完整的SiP设计流程








签名